• No results found

Stability Analysis and Compensator design for Cascaded Converters

N/A
N/A
Protected

Academic year: 2022

Share "Stability Analysis and Compensator design for Cascaded Converters"

Copied!
54
0
0

Loading.... (view fulltext now)

Full text

(1)

6WDELOLW\$QDO\VLVDQG&RPSHQVDWRUGHVLJQIRU&DVFDGHG 6WDELOLW\$QDO\VLVDQG&RPSHQVDWRUGHVLJQIRU&DVFDGHG 6WDELOLW\$QDO\VLVDQG&RPSHQVDWRUGHVLJQIRU&DVFDGHG 6WDELOLW\$QDO\VLVDQG&RPSHQVDWRUGHVLJQIRU&DVFDGHG

&RQYHUWHU

&RQYHUWHU &RQYHUWHU

&RQYHUWHUVVVV

$7KHVLV6XEPLWWHGLQ3DUWLDO)XOILOPHQW IRUWKH$ZDUGRIWKH'HJUHHRI

0DVWHURI7HFKQRORJ\

LQ(OHFWULFDO(QJLQHHULQJZLWK6SHFLDOL]DWLRQLQ

&RQWURO $XWRPDWLRQ E\

%5DJKXPD5HGG\

'H 'H 'H

'HSDUWPHQWRI(OHFWULFDO(QJLQHHULQJ SDUWPHQWRI(OHFWULFDO(QJLQHHULQJ SDUWPHQWRI(OHFWULFDO(QJLQHHULQJ SDUWPHQWRI(OHFWULFDO(QJLQHHULQJ 1DWLRQDO,QVWLWXWHRI7HFKQRORJ\5RXUNHOD 1DWLRQDO,QVWLWXWHRI7HFKQRORJ\5RXUNHOD 1DWLRQDO,QVWLWXWHRI7HFKQRORJ\5RXUNHOD 1DWLRQDO,QVWLWXWHRI7HFKQRORJ\5RXUNHOD

(2)

6WDELOLW\$QDO\VLVDQG&RPSHQVDWRUGHVLJQIRU&DVFDGHG 6WDELOLW\$QDO\VLVDQG&RPSHQVDWRUGHVLJQIRU&DVFDGHG 6WDELOLW\$QDO\VLVDQG&RPSHQVDWRUGHVLJQIRU&DVFDGHG 6WDELOLW\$QDO\VLVDQG&RPSHQVDWRUGHVLJQIRU&DVFDGHG

&RQYHUWHU

&RQYHUWHU &RQYHUWHU

&RQYHUWHUVVVV

$7KHVLV6XEPLWWHGLQ3DUWLDO)XOILOPHQW IRUWKH$ZDUGRIWKH'HJUHHRI

0DVWHURI7HFKQRORJ\

LQ(OHFWULFDO(QJLQHHULQJZLWK6SHFLDOL]DWLRQLQ

&RQWURO $XWRPDWLRQ E\

%5DJKXPD5HGG\

((

8QGHUWKH6XSHUYLVLRQRI 3URI6XVRYRQ6DPDQWD

'HSDUWPHQWRI(OHFWULFDO(QJLQHHULQJ 'HSDUWPHQWRI(OHFWULFDO(QJLQHHULQJ 'HSDUWPHQWRI(OHFWULFDO(QJLQHHULQJ 'HSDUWPHQWRI(OHFWULFDO(QJLQHHULQJ 1DWLRQDO,QVWLWXWHRI7HFKQRORJ\5RXUNHOD 1DWLRQDO,QVWLWXWHRI7HFKQRORJ\5RXUNHOD 1DWLRQDO,QVWLWXWHRI7HFKQRORJ\5RXUNHOD 1DWLRQDO,QVWLWXWHRI7HFKQRORJ\5RXUNHOD

(3)

'HSDUWPHQWRI(OHFWULFDO(QJLQHHULQJ 'HSDUWPHQWRI(OHFWULFDO(QJLQHHULQJ 'HSDUWPHQWRI(OHFWULFDO(QJLQHHULQJ 'HSDUWPHQWRI(OHFWULFDO(QJLQHHULQJ 1DWLRQDO,QVWLWXWHRI7HFKQRORJ\5RXUNHOD 1DWLRQDO,QVWLWXWHRI7HFKQRORJ\5RXUNHOD 1DWLRQDO,QVWLWXWHRI7HFKQRORJ\5RXUNHOD 1DWLRQDO,QVWLWXWHRI7HFKQRORJ\5RXUNHOD

&HUWLILFDWH

&HUWLILFDWH &HUWLILFDWH

&HUWLILFDWH

7KLV LV WR FHUWLI\ WKDW WKH 7KHVLV HQWLWOHG ´6WDELOLW\ ´6WDELOLW\ ´6WDELOLW\ ´6WDELOLW\ $QDO\VLV DQG &RPSHQVDWRU $QDO\VLV DQG &RPSHQVDWRU $QDO\VLV DQG &RPSHQVDWRU $QDO\VLV DQG &RPSHQVDWRU GHVLJQ

GHVLJQ GHVLJQ

GHVLJQ IRU &DVFDGHG &RQYHUWHU IRU &DVFDGHG &RQYHUWHU IRU &DVFDGHG &RQYHUWHU IRU &DVFDGHG &RQYHUWHUVVVVµµµµ VXEPLWWHG E\ ´% 5DJKXPD ´% 5DJKXPD ´% 5DJKXPD ´% 5DJKXPD 5HGG\µ 5HGG\µ 5HGG\µ 5HGG\µ WR WKH 1DWLRQDO ,QVWLWXWH RI 7HFKQRORJ\ 5RXUNHOD LV D ERQDILGH UHVHDUFK ZRUN FDUULHG RXW E\ KLP XQGHU P\ JXLGDQFH DQG LV ZRUWK\ IRU WKH DZDUG RI WKH GHJUHH RI

´´´´0DVWHU RI 7HFKQRORJ\µ 0DVWHU RI 7HFKQRORJ\µ 0DVWHU RI 7HFKQRORJ\µ LQ (OHFWULFDO (QJLQHHULQJ VSHFLDOL]LQJ LQ ´´´´&RQWURO 0DVWHU RI 7HFKQRORJ\µ &RQWURO &RQWURO &RQWURO

$XWRPDWLRQµ

$XWRPDWLRQµ

$XWRPDWLRQµ

$XWRPDWLRQµIURPWKLVLQVWLWXWH7KHHPERGLPHQWRIWKLVWKHVLVLVQRWVXEPLWWHG LQDQ\RWKHUXQLYHUVLW\DQGRULQVWLWXWHIRUWKHDZDUGRIDQ\GHJUHHRUGLSORPDWR WKHEHVWRIRXUNQRZOHGJHDQGEHOLHI

'DWH

3ODFH

3URI6XVRYRQ6DPDQWD

$VVLVWDQW3URIHVVRU

'HSDUWPHQWRI(OHFWULFDO(QJLQHHULQJ

6XSHUYLVRU

(4)

$&.12:/('*(0(176

$&.12:/('*(0(176

$&.12:/('*(0(176

$&.12:/('*(0(176

,DPJUDWHIXOWRQXPHURXVORFDODQGJOREDOSHHUVZKRKDYHFRQWULEXWHGWRZDUGVVKDSLQJWKLVWKHVLV

$W WKH RXWVHW , ZRXOG OLNH WR H[SUHVV P\ VLQFHUH WKDQNV WR 3URI 6XVRYRQ 6DPDQWD IRU KLV DGYLFH GXULQJ P\ WKHVLVZRUN $V P\ VXSHUYLVRU KH KDV FRQVWDQWO\ HQFRXUDJHG PH WR UHPDLQ IRFXVHG RQ DFKLHYLQJP\ JRDO+LVREVHUYDWLRQVDQG FRPPHQWVKHOSHG PHWRHVWDEOLVK WKHRYHUDOOGLUHFWLRQRI WKHUHVHDUFKDQGWRPRYHIRUZDUGZLWKLQYHVWLJDWLRQLQGHSWK+HKDVKHOSHGPHJUHDWO\DQGEHHQD VRXUFHRINQRZOHGJH

, H[WHQG P\ WKDQNV WR RXU +2' 3URI $QXS .XPDU 3DQGD IRU KLV YDOXDEOH DGYLFHV DQG HQFRXUDJHPHQW,DPWKDQNIXOWRP\DOOIULHQGV 0\VLQFHUHWKDQNV WR HYHU\RQHZKRKDVSURYLGHG PHZLWKNLQGZRUGVDZHOFRPHHDUQHZLGHDVXVHIXOFULWLFLVPRUWKHLULQYDOXDEOHWLPH,DPWUXO\

LQGHEWHG

, PXVW DFNQRZOHGJH WKH DFDGHPLF UHVRXUFHV WKDW , KDYH JRW IURP 1,7 5RXUNHOD , ZRXOG OLNH WR WKDQNDGPLQLVWUDWLYHDQGWHFKQLFDOVWDIIPHPEHUVRIWKH'HSDUWPHQWZKRKDYHEHHQNLQGHQRXJKWR DGYLVHDQGKHOSLQWKHLUUHVSHFWLYHUROHV

/DVWEXWQRWWKHOHDVW,ZRXOGOLNHWRGHGLFDWHWKLVWKHVLVWRP\IDPLO\IRUWKHLUORYHSDWLHQFHDQG XQGHUVWDQGLQJ

%5DJKXPD5HGG\

((

(5)

$%675$&7

$%675$&7

$%675$&7

$%675$&7

7KHSUHVHQWZRUNGHDOVZLWKWKHPRGHOLQJDQGWKHVWDELOLW\RIWKHFDVFDGHFRQYHUWHUV:KHQD FRQYHUWHUWLJKWO\UHJXODWHVLWVRXWSXWLWEHKDYHVDVDFRQVWDQWSRZHUORDG&3/7KHSUREOHP RI&3/VLVWKDWWKH\VKRZQHJDWLYHLQFUHPHQWDOUHVLVWDQFHWKDWFDXVHVLQVWDELOLW\LQWKHV\VWHP +HQFHWKH&3/KDVEHHQPRGHOHGDQGOLQHDUL]DHGWRVWXG\LWVHIIHFWRQWKHVRXUFHFRQYHUWHU 2Q WKLV EDVLV LW ZDV IRXQG WKDW EHFDXVH RI WKH QHJDWLYH UHVLVWDQFH H[KLELWHG E\ WKH &3/ WKH FRQWUROWRRXWSXWWUDQVIHUIXQFWLRQSRVVHVVHGD5+3SROHDQGWKXVPDNHVV\VWHPXQVWDEOH7KH HIIHFWRIWKHLQWURGXFWLRQRIVPDOOUHVLVWDQFHLQVHULHVZLWKLQGXFWRURIVRXUFHFRQYHUWHURQWKH VWDELOLW\ ZDV DQDO\]HG $OVR WKH FRPSHQVDWLRQ RQ WKH EDVLV RI WKH SDVVLYH FRPSRQHQWV LH VQXEEHUVZHUHGHVLJQHG VRDVWRUHGXFHWKHSRZHUGLVVLSDWLRQGXHWRWKHUHVLVWDQFH7\SH,,, FRPSHQVDWLRQZDVGHVLJQHGE\WDNLQJWKHLQSXWLPSHGDQFHRIWKHORDGFRQYHUWHUDVWKHORDGIRU WKHVRXUFHFRQYHUWHUXVLQJ.IDFWRUPHWKRG)XUWKHUEDVHGRQLQSXWLPSHGDQFHPLQRUORRS JDLQFRQFHSWZDVXVHGIRUVWDELOL]LQJWKHV\VWHP

(6)

ǀ

&217(176

&217(176 &217(176

&217(176

&(57,),&$7( LL

$&.12:/('*(0(176 LLL

$%675$&7 LY

&217(176 Y

/,672)),*85(6 YLL

/,672)$%%5(9,$7,216 L[

/,672)7$%/(6 L[

&+$37(5,1752'8&7,212)&$6&$'('&219(57(56

&+$37(5,1752'8&7,212)&$6&$'('&219(57(56

&+$37(5,1752'8&7,212)&$6&$'('&219(57(56

&+$37(5,1752'8&7,212)&$6&$'('&219(57(56

,QWURGXFWLRQ

/LWHUDWXUH6XUYH\

0RWLYDWLRQ

2EMHFWLYHV

7KHVLV2UJDQL]DWLRQ

&+$37(502'(/,1*$1'/,1($5,=$7,212)&3/

&+$37(502'(/,1*$1'/,1($5,=$7,212)&3/

&+$37(502'(/,1*$1'/,1($5,=$7,212)&3/

&+$37(502'(/,1*$1'/,1($5,=$7,212)&3/

0RGHOLQJRIFDVFDGHGFRQYHUWHUV

/LQHDUPRGHORID&3/

6WDWHVSDFHGHVFULSWLRQRIEXFNFRQYHUWHU 6WDWHVSDFHGHVFULSWLRQZKHQVZLWFKLQ21VWDWH 6WDWHVSDFHGHVFULSWLRQZKHQVZLWFKLQ2))VWDWH

6WDWH6SDFH$YHUDJH0HWKRG

([WUDFWLQJWKH7UDQVIHUIXQFWLRQV

6WDELOLW\DQDO\VLV

&RPSHQVDWLRQE\5/

&RPSHQVDWLRQE\6QXEEHU&LUFXLWV

(7)

ǀŝ

&+$37(5,1387$1'287387,03('$1&(2)&/26('/223&219(57(5

&+$37(5,1387$1'287387,03('$1&(2)&/26('/223&219(57(5

&+$37(5,1387$1'287387,03('$1&(2)&/26('/223&219(57(5

&+$37(5,1387$1'287387,03('$1&(2)&/26('/223&219(57(5

$1'67$%,/,7<$1$/<6,62)&$6&$'('&219

$1'67$%,/,7<$1$/<6,62)&$6&$'('&219

$1'67$%,/,7<$1$/<6,62)&$6&$'('&219

$1'67$%,/,7<$1$/<6,62)&$6&$'('&219(57(56(57(56(57(56(57(56

,QSXWLPSHGDQFHRIRSHQORRSEXFNFRQYHUWHU

&ORVHGORRSLQSXWLPSHGDQFHRIEXFNFRQYHUWHU 2XWSXWLPSHGDQFHRIRSHQORRSEXFNFRQYHUWHU

&ORVHGORRSRXWSXWLPSHGDQFHRIEXFNFRQYHUWHU

5HVXOWV

6WDELOLW\$QDO\VLV

7ZRVWDJHGLVWULEXWHGV\VWHP

6\VWHP6WDELOLW\0DUJLQ

&+$37(5&203(16$725'(6,*1)25&$6&$'('&219(57(56

&+$37(5&203(16$725'(6,*1)25&$6&$'('&219(57(56

&+$37(5&203(16$725'(6,*1)25&$6&$'('&219(57(56

&+$37(5&203(16$725'(6,*1)25&$6&$'('&219(57(56

&RPSHQVDWRUGHVLJQ

'LIIHUHQWW\SHVRIFRPSHQVDWRUV

6HOHFWLRQRIFRPSHQVDWRU

,QWURGXFWLRQWRWKH.)$&725

'HULYDWLRQ.IRU7\SH,,,$PSOLILHUV

6HOHFWLRQRIFURVVRYHUIUHTXHQF\SKDVHPDUJLQDQGJDLQRIWKHFRPSHQVDWRU

6HOHFWFURVVRYHUIUHTXHQF\

6HOHFWD3KDVHPDUJLQ

'HWHUPLQH5HTXLUHG$PSOLILHU*DLQ

5HVXOWV

&+$37(5&21&/86,21$1')8785(:25.

&+$37(5&21&/86,21$1')8785(:25.

&+$37(5&21&/86,21$1')8785(:25.

&+$37(5&21&/86,21$1')8785(:25.

&RQFOXVLRQ

)XWXUHZRUN

5()(5(1&(6 5()(5(1&(6 5()(5(1&(6

5()(5(1&(6

(8)

ǀŝŝ

/,672)),*85(6 /,672)),*85(6 /,672)),*85(6 /,672)),*85(6

)LJ%ORFN'LDJUDPRI&DVFDGHG&RQYHUWHUV )LJ'&'&%XFN&RQYHUWHUORDGHGE\D&3/

)LJ7LJKWO\UHJXODWHGGFGFEXFNFRQYHUWHU )LJ(TXLYDOHQWUHSUHVHQWDWLRQRI&3/

)LJ&KDUDFWHULVWLFVRI&3/

)LJ/RDGFRQYHUWHUUHSODFHGZLWKHTXLYDOHQWRI&3/

)LJ7KHFLUFXLWRIWKHEXFNFRQYHUWHU

)LJ7KHEXFNFRQYHUWHUZKHQVZLWFKLV21VWDWH )LJ7KHEXFNFRQYHUWHUZKHQVZLWFKLV2))VWDWH )LJ%XFN&RQYHUWHUZLWK5&6QXEEHU

)LJ6PDOOVLJQDOFRQYHUWHUPRGHO

)LJ0DWKHPDWLFDOUHSUHVHQWDWLRQRIVPDOOVLJQDOFRQYHUWHUPRGHO )LJ0DWKHPDWLFDOUHSUHVHQWDWLRQRIWKHFORVHGORRSFRQYHUWHULQYROWDJHPRGHFRQWURO )LJ,QSXWLPSHGDQFHRIRSHQORRSEXFNFRQYHUWHU )LJ,QSXWLPSHGDQFHRIFORVHGORRSEXFNFRQYHUWHU )LJ2XWSXWLPSHGDQFHRIRSHQORRSEXFNFRQYHUWHU )LJ2XWSXWLPSHGDQFHRIFORVHGORRSEXFNFRQYHUWHU

)LJ7ZRVWDJH'&GLVWULEXWHGV\VWHP

)LJ,PSHGDQFH&ULWHULRQ

)LJ0LQRUORRSJDLQ=R=LLQEORFNGLDJUDP

)LJ)RUELGGHQUHJLRQIRUORRSJDLQ7P

)LJ7\SH,FRPSHQVDWRU

)LJ7\SH,,FRPSHQVDWRU

)LJ7\SH,,,FRPSHQVDWRU

(9)

ǀŝŝŝ

)LJ)UHTXHQF\UHVSRQVHRI7\SH,,,FRPSHQVDWRUQHWZRUN )LJ7KH%RGHSORWFKDUDFWHULVWLFVRIDWKH7\SH,FRPSHQVDWLRQQHWZRUNE7\SH,, FRPSHQVDWLRQQHWZRUNDQGF7\SH,,,FRPSHQVDWLRQQHWZRUNLQUHODWLRQWRWKH.IDFWRU

)LJ,QGXFWRUFXUUHQWRIORDGFRQYHUWHU

)LJ2XWSXWYROWDJHRIORDGFRQYHUWHU

)LJ,QGXFWRUFXUUHQWRIVRXUFHFRQYHUWHU

)LJ2XWSXWYROWDJHRIVRXUFHFRQYHUWHU

)LJ,QSXWLPSHGDQFHRIORDGFRQYHUWHURXWSXWLPSHGDQFHRIVRXUFHFRQYHUWHUDQGPLQRU

ORRSJDLQ

(10)

ŝdž

/,672)$%%5,9$7,216 /,672)$%%5,9$7,216 /,672)$%%5,9$7,216 /,672)$%%5,9$7,216 '& 'LUHFW&XUUHQW

&3/ &RQVWDQWSRZHUORDG '36 'LVWULEXWHGSRZHUV\VWHP

/,672)7$%/(6 /,672)7$%/(6 /,672)7$%/(6 /,672)7$%/(6

&DQRQLFDO0RGHO3DUDPHWHUVIRU%XFN%RRVWDQG%XFN%RRVW&RQYHUWHUV

&RPSRQHQWVIRU7\SH,,,FRPSHQVDWRU

(11)

&+$37(5

&+$37(5

&+$37(5

&+$37(5

,1752'8&7,2172&$6&$'('&219(57(56

,1752'8&7,2172&$6&$'('&219(57(56

,1752'8&7,2172&$6&$'('&219(57(56

,1752'8&7,2172&$6&$'('&219(57(56

(12)

Ϯ

&+$37(5

&+$37(5

&+$37(5

&+$37(5

,1752'8&7,2172&$6&$'('&219(57(56 ,1752'8&7,2172&$6&$'('&219(57(56 ,1752'8&7,2172&$6&$'('&219(57(56 ,1752'8&7,2172&$6&$'('&219(57(56

,QWURGXFWLRQ,QWURGXFWLRQ,QWURGXFWLRQ,QWURGXFWLRQ

0XOWLFRQYHUWHUSRZHUHOHFWURQLFV\VWHPVILQGLWVDSSOLFDWLRQLQDQXPEHURIVFHQDULRVIRUHOHFWULF SRZHU GLVWULEXWLRQ VXFK DV VSDFH YHKLFOHV K\EULG HOHFWULF YHKLFOHV HWF 8VXDOO\ WKH\ FRQVLVW RI PXOWLSOH QXPEHUV RI WKH FRQYHUWHUV DQG LQYHUWHUV FRQQHFWHG EHWZHHQ GLIIHUHQW EXVHV 7KHUHIRUH WKHRYHUDOOVL]HDQGKHQFHWKHFRPSOH[LW\RIVXFKDGLVWULEXWLRQV\VWHPLVYHU\KLJKDQGKHQFHWKH GHVLJQ WDVN RI VXFK D V\VWHP LV QRW FDUULHG RXW DVD ZKROH UDWKHU LW LV GLYLGHG LQWR D QXPEHU RI VXEV\VWHPV FRQVLVWLQJ RI WKH VLQJOH FRQYHUWHUV DVVXPLQJ WR EH RSHUDWLQJ DV D VWDQGDORQH V\VWHP 7KH GHVLJQHG VWDQGDORQH V\VWHP LV WKHQ LQWHJUDWHG LQ RUGHU WR IRUP WKH RYHUDOO SRZHU HOHFWURQLF V\VWHP+RZHYHUWKHUHDULVHVDQLQKHUHQWLQVWDELOLW\SUREOHPLQVXFKDQLQWHJUDWHGV\VWHPGXHWR WKHLQWHUDFWLRQEHWZHHQGLIIHUHQWVXEV\VWHPVZKLFKZHUHGHVLJQHGDVDVWDEOHV\VWHPDVVXPLQJWKDW WKH\DUHVWDQGDORQH+HQFHLQWKHPXOWLFRQYHUWHUHOHFWURQLFV\VWHPLQVWDELOLW\FDQEHLQGXFHGGXH WRWKHORDGLQJRIWKHRWKHUFRQYHUWHUVXEV\VWHPV:KHQDFRQYHUWHURUDQLQYHUWHUWLJKWO\UHJXODWHV LWVRXWSXWLWEHKDYHVDVDFRQVWDQWSRZHUORDG&3/ 2QHRIWKHEDVLFSUREOHPVDVVRFLDWHGZLWK WKH &3/·V LV WKDW H[KLELW QHJDWLYH LQFUHPHQWDO UHVLVWDQFH DQG KHQFH QHJDWLYH LPSHGDQFH DQG WKHUHIRUH FDXVHV V\VWHP LQVWDELOLW\ ,Q WKLV ZRUN D OLQHDUL]HG PRGHO IRU WKH &3/ KDV EHHQ GHYHORSHG DQGRQWKHEDVLVRIWKLV WKHVWDELOLW\ DQDO\VLVRIWKHFRQWUROWRRXWSXW WUDQVIHUIXQFWLRQ KDVEHHQGRQH2QWKLVEDVLVLWZDVIRXQGWKDWEHFDXVHRIWKHQHJDWLYHUHVLVWDQFHH[KLELWHGE\WKH

&3/ WKH FRQWURO WR RXWSXW WUDQVIHU IXQFWLRQ SRVVHVVHG D 5+3 SROH DQG WKXV PDNLQJ LW XQVWDEOH

%DVHGRQWKHWUDQVIHUIXQFWLRQDQDO\VLVLWZDVIRXQGWKDWWKHFRPSHQVDWLRQFRXOGEHSURYLGHGE\

WKH VPDOO UHVLVWDQFH LQ WKH VHULHV ZLWK WKH LQGXFWDQFH LI WKH VRXUFH FRQYHUWHU +RZHYHU WKH LQWURGXFWLRQ RI WKH UHVLVWDQFH FDXVHV SRZHU GLVVLSDWLRQ DQG KHQFH UHGXFHV WKH HIILFLHQF\ RI WKH V\VWHP7KHUHIRUHWKHFRPSHQVDWLRQEDVHGRQEDVHGRQWKHSDVVLYHFRPSRQHQWVVQXEEHUVZDV LPSOHPHQWHG+RZHYHUWKHVQXEEHUVFRQVLVWHGRIWKHPRUHQRRIFRPSRQHQWVDQGDUHDVVRFLDWHG ZLWK PRUH QXPEHU RI FRPSOH[LWLHV )XUWKHU WKH LQSXW LPSHGDQFH RI WKH FDVFDGHG FRQYHUWHUV DV GHULYHG E\ WKH WZR GLIIHUHQW DSSURDFKHV LQ WKLV ZRUN ZDV IRXQG WR H[KLELW IUHTXHQF\ G\QDPLFV 7\SH ,,,FRPSHQVDWLRQZDV GHVLJQHGE\WDNLQJWKHLQSXWLPSHGDQFH RIWKH ORDG FRQYHUWHUDVWKH ORDGIRUWKHVRXUFHFRQYHUWHUXVLQJ.IDFWRUPHWKRG7KHVWDELOLW\DQDO\VLVEDVHGRQWKHLQSXWDQG RXWSXWLPSHGDQFHZDVGRQHEDVHGRQWKHPLQRUORRSJDLQ

/LWHUDWXUH6XUYH\ /LWHUDWXUH6XUYH\ /LWHUDWXUH6XUYH\ /LWHUDWXUH6XUYH\

7KHFKDUDFWHULVWLFVRIFDVFDGHGFRQYHUWHUVDQGWKHUHODWHGVWDELOLW\SUREOHPKDYHEHHQSUHVHQWHGLQ

>@$WWKHVXEV\VWHPOHYHOWKHG\QDPLFVRIEXFNFRQYHUWHUZLWKDFRQVWDQWSRZHUORDG KDV EHHQ UHSRUWHG LQ >@ )XUWKHU >@>@ SUHVHQWV WKH 6WDWH VSDFH DYHUDJLQJ PHWKRG RI WKH '& '& EXFN FRQYHUWHUDQGWKHFRQWUROWRRXWSXWWUDQVIHUIXQFWLRQVKDVEHHQGHVLJQHGLQLW7KHEXFNFRQYHUWHU PRGHOIRUWKHVDPHKDVEHHQGHYHORSHGLQWKH6LPXOLQNLQ>@0DWKHPDWLFDOFDOFXODWLRQRILQSXW DQG RXWSXW LPSHGDQFH RI FORVHG ORRS FRQYHUWHU KDV EHHQ GRQH LQ >@ '\QDPLF SURILOH RI

(13)

ϯ

VZLWFKHGPRGHFRQYHUWHURUWKHFDVFDGHGFRQYHUWHUVKDVEHHQLQYHVWLJDWHGLQ>@,VVXHVLQG\QDPLF DQDO\VLV DQG GHVLJQ RI LQWHUFRQQHFWHG '&'& SRZHU VXSSO\ V\VWHP UHYHDOV WKDW WKH LQSXW LPSHGDQFHRIVXFKDV\VWHPH[KLELWVVRPHG\QDPLFVZLWKWKHIUHTXHQF\DQGLWLVQRWFRQVWDQW>@

)XUWKHUWKHVWDELOLW\RIWZRVWDJHGLVWULEXWHGSRZHUV\VWHPE\XVLQJPLQRUORRSJDLQWHFKQLTXHKDV EHHQLQYHVWLJDWHGLQ>@7KHPLQRUORRSJDLQFRQFHSWKDVEHHQXVHGIRUWKHLPSHGDQFHFULWHULDIRU WKHV\VWHPVWDELOLW\LQ>@+HQFHXVLQJWKHGHVLJQRIWKHFRPSHQVDWRUE\.IDFWRUPHWKRGIRUWKH VDWLVI\LQJWKHGHVLUHGLPSHGDQFHFULWHULDZDVGHYHORSHGLQ>@>@

0RWLYDWLRQ 0RWLYDWLRQ 0RWLYDWLRQ 0RWLYDWLRQ

:LWKWKHGHYHORSPHQWRIUHQHZDEOHHQHUJ\'&GLVWULEXWLRQSRZHUV\VWHP'36EHFRPHVPRUH DQG PRUH DWWUDFWLYH 7KH VWDELOLW\ RI ZKROH V\VWHP LV VWLOO D ELJ FRQFHUQ WKRXJK HYHU\ VLQJOH FRQYHUWHU LV ZHOO GHVLJQHG EDVHG RQ WKH VWDQGDORQH RSHUDWLRQ ZLWK VXIILFLHQW VWDELOLW\ 6LQFH WKH FDVFDGHGFRQQHFWLRQRISRZHUFRQYHUWHUVLVRQHRIWKHPRVWGRPLQDQWFRQQHFWLRQIRUPVLQWKH'&

'36WKHVWDELOLW\DQDO\VLVRIWKHFDVFDGHGV\VWHPLVYHU\LPSRUWDQWWRHQVXUHVWDELOLW\RIWKHZKROH V\VWHP 7LJKWO\ UHJXODWHG FORVHGORRS FRQYHUWHUV DUH SUREOHPDWLF ZKHQ XVHG DV D ORDG VLQFH WKH\

WHQGWR GUDZ FRQVWDQWSRZHUDQGH[KLELWQHJDWLYHLQFUHPHQWDOUHVLVWDQFH7KLV QHJDWLYHUHVLVWDQFH FDXVHV VWDELOLW\ SUREOHPV IRU WKH IHHGHU V\VWHPZKHWKHU LWLV DQLQSXW ILOWHU RU DQRWKHU FRQYHUWHU 7KLV ZRUN DLPV DW PRGHOLQJ DQG VWDELOL]LQJ WKH FDVFDGHG FRQYHUWHUV E\ HPSOR\LQJ GLIIHUHQW FRPSHQVDWLRQWHFKQLTXHVDQGDQDO\]LQJLWVHIIHFWVRQWKHLUSHUIRUPDQFH

2EMHFWLYHV 2EMHFWLYHV 2EMHFWLYHV 2EMHFWLYHV

7KHIROORZLQJDUHWKHREMHFWLYHVRIWKHWKHVLV

¾ 7RVWXG\FKDUDFWHULVWLFVRIWKHFDVFDGHGFRQYHUWHUV

¾ 7RVWXG\PRGHOLQJRIWKH'&'&FRQYHUWHUDQGOLQHDUL]DWLRQRIWKH&3/

¾ 7RFDOFXODWHLQSXWLPSHGDQFHRIWKHFORVHGORRSFRQYHUWHU

¾ 7RVWXG\PLQRUORRSJDLQFRQFHSWIRUVWDELOL]LQJWKHFDVFDGHGFRQYHUWHUV

¾ 7RGHVLJQ7\SH,,,FRPSHQVDWRUIRUFDVFDGHGFRQYHUWHUV

7KHVLV2UJDQL]DWLRQ 7KHVLV2UJDQL]DWLRQ 7KHVLV2UJDQL]DWLRQ 7KHVLV2UJDQL]DWLRQ

7KHZRUNLQWKHVLVLVRUJDQL]HGLQWRVL[FKDSWHUVZKLFKDUHGLVFXVVHGEHORZ

&KDSWHU

&KDSWHU

&KDSWHU

&KDSWHU3URYLGHVLQWURGXFWLRQWRFDVFDGHGFRQYHUWHUV

&KDSWHU

&KDSWHU

&KDSWHU

&KDSWHU3URYLGHVPRGHOLQJDQGOLQHDUL]DWLRQRIFDVFDGHGFRQYHUWHUV

&KDSWHU

&KDSWHU

&KDSWHU

&KDSWHU3URYLGHVLQSXWDQGRXWSXWLPSHGDQFHRIWKHFORVHGORRSFRQYHUWHU

&KDSWHU

&KDSWHU

&KDSWHU

&KDSWHU3URYLGHVVWDELOLW\DQDO\VLVRIFDVFDGHGFRQYHUWHUV

(14)

ϰ

&KDSWHU

&KDSWHU

&KDSWHU

&KDSWHU3URYLGHVFRPSHQVDWRUGHVLJQIRUFDVFDGHGFRQYHUWHUV

&KDSWHU

&KDSWHU

&KDSWHU

&KDSWHU&RQFOXGHVWKHZRUNZLWKVFRSHIRUIXWXUHZRUN

(15)

&+$37(5

&+$37(5

&+$37(5

&+$37(5 02'(/,1*$1'/,1($5,=$7,212)&3/

02'(/,1*$1'/,1($5,=$7,212)&3/

02'(/,1*$1'/,1($5,=$7,212)&3/

02'(/,1*$1'/,1($5,=$7,212)&3/

(16)

ϲ

&+$37(5

&+$37(5

&+$37(5

&+$37(5

02'(/,1*$1'/,1($5,=$7,212)&3/

02'(/,1*$1'/,1($5,=$7,212)&3/

02'(/,1*$1'/,1($5,=$7,212)&3/

02'(/,1*$1'/,1($5,=$7,212)&3/

0RG0RG0RG0RGHOLQJHOLQJHOLQJHOLQJRIRIRI&DVFDGHG&RQYHUWHUVRI&DVFDGHG&RQYHUWHUV&DVFDGHG&RQYHUWHUV&DVFDGHG&RQYHUWHUV

:KHQ WLJKWO\ UHJXODWHG FORVHGORRS FRQYHUWHUV XVHGDV D ORDG WKH\ WHQG WR GUDZ FRQVWDQW SRZHU DQG H[KLELW QHJDWLYH LQFUHPHQWDO UHVLVWDQFH 7KLV QHJDWLYH UHVLVWDQFH FDXVHV VWDELOLW\ SUREOHPV IRU WKHVRXUFHFRQYHUWHU

Source Load

Converter Converter R

Vin

)LJ%ORFN'LDJUDPRI&DVFDGHG&RQYHUWHUV

5HSUHVHQWLQJFDVFDGHGFRQYHUWHUVLQWHUPVRIFRPSRQHQWV

Vin

L

C Vo

+

iL

RLoad CPL

Load Converter

)LJ'&'&%XFN&RQYHUWHUORDGHGE\D&3/

)LJ VKRZV EXFN FRQYHUWHU ORDGHG E\ DQRWKHU EXFN FRQYHUWHU WLJKWO\ UHJXODWHG FRQYHUWHU UHSUHVHQWVFRQVWDQWSRZHUORDG&3/

Vin

L

C Vo

+

iL

RLoad

Feedback Controller

)LJ7LJKWO\UHJXODWHG'&'&EXFNFRQYHUWHU

(17)

ϳ

/LQHDU0RGHORID&3//LQHDU0RGHORID&3//LQHDU0RGHORID&3//LQHDU0RGHORID&3/

&RQVLGHU D WLJKWO\ UHJXODWHG FRQYHUWHU VKRZQ LQ )LJ ,I WKH RXWSXW ORDG RI WKH FRQYHUWHU LV FRQVWDQWDQGWKHFRQYHUWHUWLJKWO\UHJXODWHVLWVRXWSXWYROWDJHWKHQZKDWHYHUWKHLQSXWYROWDJHLVWKH RXWSXWYROWDJHZLOOEHFRQVWDQW,WPHDQVWKDWWKHRXWSXWSRZHURIWKHFRQYHUWHULVFRQVWDQWDW3RXW 9RXW5 ,I ZH DVVXPH WKDW E\ FKDQJLQJ WKH LQSXW YROWDJH RI WKH FRQYHUWHU WKH HIILFLHQF\ GRHV QRW FKDQJHVLJQLILFDQWO\WKHLQSXWSRZHUZRXOGEHFRQVWDQWDQGHTXDOWR3LQ 3RXWɻ7RXVHWKHOLQHDU FRQWUROPHWKRGVWRVROYHWKHSUREOHPLWLVUHTXLUHGWRKDYHDOLQHDUHTXLYDOHQWPRGHOIRUD&3/

DURXQGWKHRSHUDWLQJSRLQW)RUD&3/ZHFDQZULWH i P

= v

)RUDJLYHQRSHUDWLQJSRLQW, 39WKHUDWHRIFKDQJHLQFXUUHQWFDQEHREWDLQHGIURPDV IROORZV

2

i P

v V

∂ = −

6RWKHFXUYHUHSUHVHQWLQJWKHYROWDJHYHUVXVFXUUHQWIRUD&3/FDQEHDSSUR[LPDWHGE\DVWUDLJKW OLQHWKDWLVWDQJHQWWRWKHFXUYHDWWKHRSHUDWLQJSRLQW7KHHTXDWLRQIRUWKLVOLQHFXUUHQWLVJLYHQ DVIROORZV

2 2

P P

i v

V V

= − +

(TXDWLRQ LPSOLHV WKDW DW D JLYHQ RSHUDWLQJ SRLQW D &3/ FDQ EH DSSUR[LPDWHG E\ D QHJDWLYH UHVLVWDQFHSDUDOOHOZLWKDFRQVWDQWFXUUHQWVRXUFHDVIROORZV

2 CPL

CPL

R V

= −P

CPL 2 I P

= V

2 o CPL

R V

= − P CPL 2

o

I P

= V )LJ(TXLYDOHQWUHSUHVHQWDWLRQRI&3/

(18)

ϴ

Io

io

Vo vo

M

RLoad

CPL

)LJ&KDUDFWHULVWLFVRI&3/

5HSODFLQJHTXLYDOHQWFLUFXLWRI&3/LQILJ L

C

V

o

+

iL

RLoad RCPL

ICPL

Vin

R

)LJ%XFNDQG&3/UHSODFHGZLWKWKHLUHTXLYDOHQWPRGHO

&RQVLGHUDFDVFDGHGFRQILJXUDWLRQLQZKLFKDIHHGHUFRQYHUWHULVORDGHGE\DQRWKHUFRQYHUWHUWKDW DFWVOLNHD&3/,QDGGLWLRQZLWKRXWORVLQJWKHJHQHUDOLW\RIWKHGLVFXVVLRQVXSSRVHWKDWWKHIHHGHU LVDQRSHQORRSEXFNFRQYHUWHULQ&&07RXQGHUVWDQGWKHHIIHFWRIWKH&3/WRWKHIHHGHUV\VWHP VRXUFH FRQYHUWHU ZH KDYH WR GHULYH FRQWURO WR RXWSXW WUDQVIHU IXQFWLRQ VR XVLQJ VWDWH DYHUDJH PHWKRGZHFDQGHULYHWUDQVIHUIXQFWLRQV

6WDWH6WDWH6WDWH6SDFH'HVFULSWLRQRI%XFN&RQYHUWHU6WDWH6SDFH'HVFULSWLRQRI%XFN&RQYHUWHU6SDFH'HVFULSWLRQRI%XFN&RQYHUWHU6SDFH'HVFULSWLRQRI%XFN&RQYHUWHU

Vin

L

C Vo

+

iL

C R V +

iLoad

)LJ7KHFLUFXLWRIWKHEXFNFRQYHUWHU

(19)

ϵ

6WDWH6WDWH6WDWH6WDWH6SDFH'HVFULSWLRQ:KHQ6ZLWFKLQ6SDFH'HVFULSWLRQ:KHQ6ZLWFKLQ6SDFH'HVFULSWLRQ:KHQ6ZLWFKLQ6SDFH'HVFULSWLRQ:KHQ6ZLWFKLQ212121216WDWH6WDWH6WDWH6WDWH

:KHQVZLWFKLVRQWKHHTXLYDOHQWFLUFXLWRIWKHEXFNFRQYHUWHUDVIROORZV

Vin

L

C Vo

+

iL

C R V +

iLoad

)LJ7KHEXFNFRQYHUWHUZKHQVZLWFKLV21VWDWH

216WDWH

[ ] [ ]

1 1

L in

L L

L

1 1 1

C L oad

C RC C

C

o C

L in

o

C L oad

0 - i 0 v

i = +

- v 0 - i

v v = v

i v

v = 0 1 + 0 0

v i

ª º ª ºª º ª ºª º

« » « »« » « »« »

¬ ¼ ¬ ¼

¬ ¼ ¬ ¼

¬ ¼

ª º ª º

« » « »

¬ ¼ ¬ ¼

6WDWHVSDFHV\VWHPZKHQVZLWFKLV21VWDWH

( ) ( ) ( )

( ) ( ) ( )

1 1

1 1

x t A x t B u t y t C x t E u t

= +

= +

1

0 1 A = L

1 1

C RC

ª º

« − »

« »

« − »

« »

¬ ¼

1

1 0

B = L 0 1

C

ª º

« »

« »

« − »

« »

¬ ¼

[ ]

C1 = 0 1 E1=

[

0 0

]

6WDWH6WDWH6WDWH6WDWH6SDFH'HVFULSWLRQ:KHQ6ZLWFKLQ6SDFH'HVFULSWLRQ:KHQ6ZLWFKLQ6SDFH'HVFULSWLRQ:KHQ6ZLWFKLQ6SDFH'HVFULSWLRQ:KHQ6ZLWFKLQ2))2))2))2))6WDWH6WDWH6WDWH6WDWH

:KHQVZLWFKLVRIIWKHHTXLYDOHQWFLUFXLWRIWKHEXFNFRQYHUWHUDVIROORZV

Vin

L

C Vo

+

iL

C R V

+

iLoad

)LJ7KHEXFNFRQYHUWHUZKHQVZLWFKLV2))VWDWH

(20)

ϭϬ

2))6WDWH

[ ] [ ]

1

L L L in

L

1 1 1

C C L oad

C RC

C

o C

L in

o

C L oad

0 0

R - i v

i = +

0 -

- v i

v v = v

i v

v = 0 1 + 0 0

v i

ª º ª ºª º ª ºª º

« » « »« » « »« »

¬ ¼ ¬ ¼¬ ¼

¬ ¼

¬ ¼

ª º ª º

« » « »

¬ ¼ ¬ ¼

6WDWHVSDFHV\VWHPZKHQVZLWFKLV2))6WDWH

( ) ( ) ( )

( ) ( ) ( )

2 2

2 2

x t A x t B u t y t C x t E u t

= +

= +

L 2

R 1 A = L

1 1

C RC

ª º

« − »

« »

« − »

« »

¬ ¼

2

0 0

B = 1

0 C

ª º

« »

« − »

¬ ¼

C = 0 12

[ ]

E2 =

[

0 0

]

6WDWH6WDWH6WDWH6WDWH6SDFH$YHUDJH0HWKRG6SDFH$YHUDJH0HWKRG6SDFH$YHUDJH0HWKRG6SDFH$YHUDJH0HWKRG

7KHFRQYHUWHUEHKDYHVOLNHVZLWFKLQJEHWZHHQWKHWZRGLIIHUHQWOLQHDUWLPHLQYDULDQWV\VWHPV DQGGXULQJWKHVZLWFKLQJSHULRGVRLWORRNVOLNHDWLPHYDULDQWV\VWHP6WDWHVSDFHDYHUDJLQJ ZLOOEHXVHGLQWKHQH[WVXEVHFWLRQWRDSSUR[LPDWHWKLVWLPHYDULDQWV\VWHPZLWKDOLQHDUFRQWLQXRXV WLPHWLPHLQYDULDQWV\VWHP7KHILUVWVWHSLVFDOFXODWLQJDQRQOLQHDUWLPHLQYDULDQWV\VWHPE\PHDQV RIDYHUDJLQJDQGWKHVHFRQGVWHSLVOLQHDUL]LQJWKLVQRQOLQHDUV\VWHP

7KHWZROLQHDUV\VWHPVDUHILUVWDYHUDJHGZLWKUHVSHFWWRWKHLUGXUDWLRQLQWKHVZLWFKLQJSHULRG

1 2 1 2

1 2 1 2

( ) ( ( ) (1- ( )) ) ( ) ( ( ) (1- ( )) ) ( ) ( ) ( ( ) (1- ( )) ) ( ) ( ( ) (1- ( )) ) ( ) dx t d t A d t A x t d t B d t B u t

dt

y t d t C d t C x t d t E d t E u t

= + + +

= + + +

LVDQDSSUR[LPDWLRQRIWKHWLPHYDULDQWV\VWHPDQGQHZYDULDEOHQDPHVVKRXOGIRUPDOO\KDYH EHHQ XVHG 7R OLPLW WKH QXPEHU RI YDULDEOH QDPHV WKLV LV QRW PDGH 7KH GXW\ F\FOH GW LV DQ DGGLWLRQDOLQSXWVLJQDOLQ$QHZLQSXWYHFWRULVWKHUHIRUHGHILQHG

( ) ( )

( ) u t u t

d t

ª º

′ =« »

¬ ¼

$QRQOLQHDUWLPHLQYDULDQWV\VWHPZLWKVWDWHYHFWRU[WLQSXWYHFWRUXWDQGRXWSXWYHFWRU\WDUH ZULWWHQDV

(21)

ϭϭ

dx(t)

= f(x(t), u (t)) dt

y(t) = g(x(t), u (t))

$SSO\OLQHDUL]DWLRQPHWKRGZKHUHZHFDQGHILQHWKHGHYLDWLRQVDWRSHUDWLQJSRLQWDVIROORZV x(t) = X+ x(t)ˆ

u (t) = U + u (t)ˆ

ˆ y(t) = Y+ y(t)

′ ′ ′

7KH RSHUDWLQJSRLQW '& VWHDG\ VWDWH FDQ EH GHQRWHG E\ FDSLWDO OHWWHUV DQG SHUWXUEDWLRQ DF VLJQDOVFDQEHGHQRWHGE\WKHKDWV\PEROA$VVXPHWKHRSHUDWLQJSRLQWLVDWHTXLOLEULXPSRLQW LH

x(t)=X u (t)=U

f(x(t), u (t)) | = 0

7KHRSHUDWLQJSRLQWRXWSXWYDOXHVDUH

x(t)=X u (t)=U

Y = g(x(t), u (t)) |

7KH IROORZLQJ OLQHDUL]HG DF VPDOOVLJQDO V\VWHP FDQ QRZ EH REWDLQHG IURP *RRGZLQ

*UDHEHDQG6DOJDGR6HFWLRQ dx(t)ˆ

ˆ ˆ

= A x(t) + B u (t) dt

ˆ ˆ ˆ

y(t) = C x(t) + E u (t)

′ ′ ′

′ ′ ′

:KHUH

x(t)=X u (t)= U

x(t)=X u (t)= U

x(t)=X u (t)= U

x(t)=X u (t)=U

A f x B f

u C g

x E g

u

ª∂ º

′ =«¬∂ »¼

ª∂ º

′ =«¬∂ ′»¼

ª∂ º

′ =«¬∂ »¼

ª∂ º

′ =«¬∂ ′»¼

LVDQDSSUR[LPDWLRQRIWKHQRQOLQHDUV\VWHPDQGQHZYDULDEOHQDPHVVKRXOGIRUPDOO\KDYH EHHQXVHG7ROLPLWWKHQXPEHURIYDULDEOHQDPHVWKLVLVQRWPDGH

(22)

ϭϮ

ˆu(t) u(t) U

u (t)

d(t) D d(t)ˆ

ª º

ª º ª º

′ =« »=« »+« »

¬ ¼ ¬ ¼ ¬ ¼

d (t) = 1- d(t) D = 1- D

$WRSHUDWLQJSRLQWHTDQGDUHZULWWHQXVLQJZLWKHT

0 AX BU

Y CX EU

= +

= +

:KHUH

1 2

1 2

1 2

1 2

A DA D A

B DB D B C DC D C E DE D E

= + ′

= + ′

= + ′

= + ′

6ROYLQJHTIRU;DQG<

1 1

X A BU

Y ( CA B E)U

= −

= − +

1 2 1 2 d

x(t)=X u (t)=U

1 2 1 2 d

x(t)=X u (t)= U

A A

f f

B [B (A A )X (B B )U] [B B ]

u d

C C

f f

E [E (C C )X (E E )U] [E E ]

u d

′ =

∂ ∂

ª º

′ =«¬∂ ∂ »¼ = − + − =

′ =

∂ ∂

ª º

′ =«¬∂ ∂ »¼ = − + − =

(TEHFRPHV dx(t)ˆ

ˆ ˆ

= Ax(t) + B u (t) dt

ˆ ˆ ˆ

y(t) = Cx(t) + E u (t)

′ ′

′ ′

$SSO\LQJ/DSODFH7UDQVIRUPWRDERYHHTXDWLRQ

( ) ( ) ( )

( ) ( ) ( )

ˆ ˆ ˆ

sx s Ax s B u s

ˆ ˆ ˆ

y s Cx s E u s

= + ′ ′

′ ′ ′

= +

(23)

ϭϯ

( ) ( )

( ) ( ) ( )

ˆ 1 ˆ

x s (sI A) B u s

ˆ ˆ ˆ

y s Cx s E u s

′ ′

= −

′ ′ ′

= +

([WUDFWLQJWKH7UDQVIHUIXQFWLRQV ([WUDFWLQJWKH7UDQVIHUIXQFWLRQV ([WUDFWLQJWKH7UDQVIHUIXQFWLRQV ([WUDFWLQJWKH7UDQVIHUIXQFWLRQV

:HFDQH[WUDFWVL[WUDQVIHUIXQFWLRQIURPWKHDERYHHTXDWLRQEXWZHUHTXLUHRQO\FRQWUOWRRXWSXW WUDQVIHUIXQFWLRQ

&RQWUROWRRXWSXWWUDQVIHUIXQFWLRQ

o in

vd 2

ˆv (s) RV

G = =

ˆ RLCs +Ls+R d(s)

6WDELOLW\6WDELOLW\6WDELOLW\$QDO\VLV6WDELOLW\$QDO\VLV$QDO\VLV$QDO\VLV

3ROHVRIWKHDERYHWUDQVIHUIXQFWLRQ 3ROHV

2 2

1 2

- L± L - 4 R LC P , P =

2 RLC

$FFRUGLQJWRILJHTXLYDOHQWRI5DVIROORZV

Load CPL

R=R || R

,IRLoad= ∞

R=RCPL

6R5LVQHJDWLYHYDOXH3ROHVDUHLQULJKWKDOISODQHVRV\VWHPZLOOEHFRPHXQVWDEOH

&RPSHQVDWLRQ&RPSHQVDWLRQ&RPSHQVDWLRQ&RPSHQVDWLRQE\E\E\E\5555////

%\&RQVLGHULQJ5/LQVHULHVZLWKLQGXFWRUWKHV\VWHPPD\EHFRPHVWDEOH 3ROHVRIWKHV\VWHPZLWK5/

2

L L L

1 2

(L+ R CR) ± (L+ R CR) 4 LC(R+ R ) P , P =

2 RLC

− −

,I5DQG|R|<RLWKHQWKHV\VWHPVKRXOGKDYHWZRUHDOSROHVDQGRQHRIWKHPVKRXOGEHLQWKH ULJKWKDQGVLGHRIWKHVSODQHULJKWKDOISODQH5+3WKHUHIRUHWKHV\VWHPVKRXOGEHXQVWDEOH ,I|R|>RLDQG /5 5/&í/&5/5 WKHQ SROHV DUH FRPSOH[ FRQMXJDWH DQG WKHUHDO YDOXHRIWKHSROHVGHSHQGVRQWKHYDOXHRI/55/&,I/55/&í/&5/5!VLQFH

(24)

ϭϰ

/ & 5/ ! DQG |R|>RL ZH ZRXOG KDYH

(

/

) ( ( (

/

) )

2 4

(

1

(

/

) ) )

1/ 2

| L R + R CL |> L R + R CLLC + RL R 7KH VWDELOLW\ RI WKH V\VWHP ZLOO GHSHQG RQ WKH YDOXH RI /5 5/&,Q SUDFWLFDO FDVHV|R|>RL VR ZH ZLOO FRQFHQWUDWH ZKHQ

|R|>RL)URPIRUPDNLQJV\VWHPVWDEOH/55/&VKRXOGEHSRVLWLYH7KHYDOXHFDOFXODWHG IURPLVQHJDWLYHWKHQWKHVWDELOLW\FULWHULRQLPSOLHV

L

L < R C

| R |

,IHTXDWLRQGRHVQRWKROGHLWKHU5/RU&VKRXOGEHLQFUHDVHGRU/VKRXOGEHGHFUHDVHGWR PDNHWKLVLQHTXDOLW\YDOLG

,I 5/ LV LQFUHDVHG LW KDV WR NHHS EHORZ|R|&RQVLGHULQJ ZH FDQ VWDELOL]H WKH V\VWHP E\

DGGLQJWKHUHVLVWDQFHWRWKHRXWSXWRIWKHFRQYHUWHUEXWLWZLOOUHVXOWPRUHGLVVLSDWLRQ /HWXVILQGWKHDPRXQWRISRZHUGLVVLSDWLRQWKDWLVSURGXFHGE\WKHIROORZLQJWZRFDVHV :KHQWKHFRPSHQVDWLRQLVGRQHE\LQFUHDVLQJWKHUHVLVWLYHORDGDQGZKHQ5/LVLQFUHDVHG ,QWKHILUVWFDVHDUHVLVWLYHORDGWKDWSURGXFHVDGLVVLSDWLRQHTXDOWR3&3/LVUHTXLUHGLH

2 Load

CPL

R (Max)= V

P

7KLVUHVLVWLYHORDGFDQFHOVWKHHTXLYDOHQWQHJDWLYHUHVLVWDQFHRIWKH&3/JLYHQE\7KHDPRXQW RISRZHUGLVVLSDWLRQLVYHU\ODUJHVRLWLVQRWDFFHSWDEOH&DOFXODWHWKHPLQLPXPUHTXLUHG5/VXFK WKDWWKHWRWDOFRPSHQVDWLRQFDQEHGRQHE\5/LH5/RDG ’:LWKUHVSHFWWRWKHPLQLPXP UHTXLUHG5/LV

L

R = L 1

C | R |

2

Load CPL

CPL

R = R=R = V

∞Ÿ −P

7KHUHIRUH

CPL

L 2

out

P R =L.

C V

(25)

ϭϱ

7KHDERYHYDOXHVKRXOGQRWJUHDWHUWKDQWKH|R|IRUDVWDEOHV\VWHP:LWKDQRXWSXWSRZHUHTXDOWR

3&3/DQGZLWKRXWSXWYROWDJH9RWKHDYHUDJHRXWSXWFXUUHQWZKLFKLVHTXDOWRWKHDYHUDJHLQGXFWRU

FXUUHQWZLOOEH

CPL L

I = P

V

7KHUHIRUH

L

3

2 CPL

R L L 4

P P R I L

= =C V

)URP ZH FDQ FRQFOXGH WKDW LI WKH RXWSXW YROWDJH LV OHVV WKH SRZHU GLVVLSDWLRQ DFURVV 5/ LV KLJK ,Q DGGLWLRQ DV PHQWLRQHG HDUOLHU IRU D QHJDWLYH RXWSXW HTXLYDOHQW ORDG UHVLVWDQFH WKH QHFHVVDU\ FRQGLWLRQ IRU VWDELOLW\ LV WKDW R|<RL 7KHUHIRUH VXEVWLWXWLQJ

(

2/

)

L CPL out CPL

R = R = ∞ R = V P DQGLQWR

:HKDYH

L

2 2 CPL

R CPL

CPL

P

P (Max) V P

P V

§ ·

= ¨ ¸ =

© ¹

)URPZHFDQVD\FRPSHQVDWLQJWKH&3/E\LQFUHDVLQJ5/LVPRUHHIILFLHQWWKDQE\LQFUHDVLQJ 5/RDG (TXDWLRQ DOVR VWDWHV WKDW WKH PD[LPXP FRPSHQVDWLRQ WKDW FDQ EH GRQH ZLWK 5/ ZLOO SURGXFHDQDPRXQWRIGLVVLSDWLRQHTXDOWRWKHSRZHURIWKH&3/,QRWKHUZRUGVLIWKHDPRXQWRI 5/FDOFXODWHGIURPSURGXFHVDSRZHUGLVVLSDWLRQPRUHWKDQ3&3/WKHFRPSHQVDWLRQRIWKH&3/

FDQQRWEHGRQHRQO\E\LQFUHDVLQJ5/

,I WKH 21 UHVLVWDQFH RI WKH VZLWFK DQG WKH GLRGH DUH WDNHQ LQWR DFFRXQW LQ WKH FKDUDFWHULVWLF SRO\QRPLDO&3RIWKHEXFNFRQYHUWHULVFDOFXODWHGDVIROORZV

2 s L D

s L D

R D R R (1- D)

CP LCs L (R D R R (1- D))C s 1

R R

+ +

§ ·

§ ·

= +¨ + + + ¸ +¨ + ¸

© ¹ © ¹

(TXDWLRQ VKRZV WKDW 56' DQG 5'' DFW VLPLODU WR 5/ DQG WKHUHIRUH LW·V FRQWULEXWH GDPSLQJWRWKH/&V\VWHP

&RPSHQVDWLRQ&RPSHQVDWLRQ&RPSHQVDWLRQ&RPSHQVDWLRQE\E\E\E\6QXEEHU&LUFXLWV6QXEEHU&LUFXLWV6QXEEHU&LUFXLWV6QXEEHU&LUFXLWV

7KHUH DUH GLIIHUHQW FLUFXLW FRQILJXUDWLRQV WKDW SURYLGHV WKH QHFHVVDU\ GDPSLQJ RQO\ QHDU WKH IUHTXHQFLHV ZKHUH WKH /& WDQN FDQ H[KLELW XQGHVLUHG RVFLOODWLRQV 7KHUHIRUH WKH XVH RI WKHP LQ WKHFLUFXLWVFDQUHGXFHWKHSRZHUGLVVLSDWLRQ7KHVHFLUFXLWVZHUHLQLWLDOO\XVHGIRUWKHGDPSLQJRI

(26)

ϭϲ

WKHLQSXWILOWHUVRIWKHFRQYHUWHUV+RZHYHUWKHLQVWDELOLW\SUREOHPDVVRFLDWHGZLWKWKHLQSXWILOWHU RIDFORVHGORRSFRQYHUWHULVDQDORJRXVWRWKHLQVWDELOLW\FDXVHGE\WKH&3/ORDGHGWRDFRQYHUWHU

. in D V

L

C

RAUX

CAUX

R + Vo

− )LJ%XFN&RQYHUWHUZLWK5&6QXEEHU

$VVKRZQLQWKH)LJDQ5&QHWZRUNKDVEHHQDGGHGIRUSURYLGLQJWKHGDPSLQJWRWKHRXWSXW RI WKH FRQYHUWHU 7KH FDSDFLWRU EORFNV WKH '& FXUUHQW DQG KHQFH DW '& OHYHO QR GLVVLSDWLRQ LV SURGXFHG+RZHYHUWKHYDOXHVRI5$X[DQG&$X[LVVHOHFWHGLQVXFKDZD\WKDWWKHFDSDFLWRUDFWVDVD VKRUW FLUFXLW ZKLOH WKH UHVLVWDQFH UHPDLQ RSHUDWLQJ DW WKH IUHTXHQFLHV ZKHUH WKH /& ILOWHU FDQ H[KLELWRVFLOODWLRQVEHFDXVHRIWKHQHJDWLYHUHVLVWDQFH5

6QXEEHUV DUH VPDOO DX[LOLDU\ QHWZRUNV WKH SRZHU HOHFWURQLFV VZLWFKLQJ FLUFXLWV HPSOR\HG IRU FRQWUROOLQJ WKH HIIHFWV RI FLUFXLW UHDFWDQFH ,W DLGV WKH HQKDQFHPHQW RI FLUFXLW SHUIRUPDQFH RI WKH VZLWFKLQJFLUFXLWVDQGSURYLGHVKLJKHUUHOLDELOLW\EHWWHUHIILFLHQF\KLJKHUVZLWFKLQJIUHTXHQF\OHVVHU (0, DQG UHGXFHG VL]H DQG ZHLJKW 7KH EDVLF IXQFWLRQ RI D VQXEEHU LQ WKH UHDFWLYH FLUFXLW LV WKH HQHUJ\ DEVRUSWLRQ 7KLV LW SURYLGHV QHFHVVDU\ FLUFXLW GDPSLQJ FRQWUROV WKH UDWH RI FKDQJH RI FXUUHQWRUYROWDJHRULWFODPSVWKHYROWDJHRYHUVKRRW

6QXEEHUVFDQEHGHVLJQHGIURPHLWKHUSDVVLYHRUDFWLYHHOHPHQWV3DVVLYHVQXEEHUVFRQVLVWRIWKH UHVLVWRUVFDSDFLWRUVLQGXFWRUVDQGGLRGHV$FWLYHVQXEEHUVFRQVLVWRIWKHWUDQVLVWRUVRURWKHUDFWLYH VZLWFKLQJ GHYLFHV ZKLFK H[SORLWV LWV SDUDVLWLF FRPSRQHQWV WR VHUYH DV WKH IXQFWLRQ RI WKH SDVVLYH FRPSRQHQWV WR DFW DV D VQXEEHU FLUFXLW +RZHYHU LW RIWHQ UHTXLUHV D VLJQLILFDQW DPRXQW RI H[WUD FLUFXLWU\ 7KH VLPSOH 5& VQXEEHU GDPSHQV WKH SDUDVLWLF UHVRQDQFHV LQ WKH SRZHU VWDJH DQG LW LV PRVWO\ XVHG DPRQJ DOO VQXEEHU FLUFXLWV ,W LV XVHG IRU WKH YROWDJH ULVH FRQWURO IRU WKH RXWSXW LQGXFWDQFHVDVZHOODVDFURVVWKHVZLWFKHVDQGGLRGHV

7KH FDSDFLWRU DQG UHVLVWRU YDOXHV FDQ EH GHWHUPLQHG IURP WKH RWKHU FLUFXLW FRPSRQHQWV 7KH VQXEEHU FDSDFLWDQFH LV XVXDOO\ WZR WR IRXU WLPHV WKH FLUFXLW FDSDFLWDQFH YDOXH 7KH YDOXH RI WKH VQXEEHUUHVLVWRUFDQEHFDOFXODWHGIURPWKHLPSHGDQFHRIWKHSDUDVLWLFUHVRQDQWFLUFXLW

7LJKWO\ UHJXODWHG ORDG FRQYHUWHU FDQ GUDZ FRQVWDQWSRZHU IURP VRXUFH FRQYHUWHU VKRZV QHJDWLYH UHVLVWDQFH 5 WR VRXUFH FRQYHUWHU EXW ORDG FRQYHUWHU LV KDYLQJ G\QDPLFV ,QSXW ,PSHGDQFH 7KHUHIRUHLQQH[WFKDSWHUZHZLOONQRZKRZWRFDOFXODWHLQSXWLPSHGDQFHFORVHGORRSFRQYHUWHU DQGLWZLOODIIHFWVRXUFHFRQYHUWHU

(27)

&+$37(5

&+$37(5

&+$37(5

&+$37(5 ,1387$1'2

,1387$1'2 ,1387$1'2

,1387$1'287387,03('$1&(2)&/26('/223 87387,03('$1&(2)&/26('/223 87387,03('$1&(2)&/26('/223 87387,03('$1&(2)&/26('/223

&219

&219

&219

&219(57(5$1'67$%,/,7<$1$/<6,62)&$6&$'(' (57(5$1'67$%,/,7<$1$/<6,62)&$6&$'(' (57(5$1'67$%,/,7<$1$/<6,62)&$6&$'(' (57(5$1'67$%,/,7<$1$/<6,62)&$6&$'('

&219(57(56

&219(57(56

&219(57(56

&219(57(56

(28)

ϭϴ

&+$37(5

&+$37(5

&+$37(5

&+$37(5 ,1387 $1' 2 ,1387 $1' 2 ,1387 $1' 2

,1387 $1' 287387 ,03('$1&( 2) &/26(' /223 87387 ,03('$1&( 2) &/26(' /223 87387 ,03('$1&( 2) &/26(' /223 87387 ,03('$1&( 2) &/26(' /223

&219

&219

&219

&219(57(5 $1' 67$%,/,7< $1$/<6,6 2) &$6&$'(' (57(5 $1' 67$%,/,7< $1$/<6,6 2) &$6&$'(' (57(5 $1' 67$%,/,7< $1$/<6,6 2) &$6&$'(' (57(5 $1' 67$%,/,7< $1$/<6,6 2) &$6&$'('

&219(57(56

&219(57(56

&219(57(56

&219(57(56

,QSXW,QSXW,QSXW,QSXW,PSHGDQFH,PSHGDQFH,PSHGDQFHRI,PSHGDQFHRIRI2SHQ/RRSRI2SHQ/RRS2SHQ/RRS%XFN&RQYHUWHU2SHQ/RRS%XFN&RQYHUWHU%XFN&RQYHUWHU%XFN&RQYHUWHU

7KHLQSXWLPSHGDQFH RI D'&'& FRQYHUWHULVGHILQHGDVWKHUDWLR RI SHUWXUEDWLRQV LQWKH LQSXW YROWDJH( )vˆi WRWKHSHUWXUEDWLRQVLQWKHFXUUHQW( )iˆi ZKHQSHUWXUEDWLRQRIWKHORDGFXUUHQW(iˆload)LV ]HUR$VDERYHVLQFHWKHFRQYHUWHULVRSHUDWLQJLQRSHQORRSPRGH( )dˆ VKRXOGEHVHWWR]HURDV ZHOO

. .

ˆ ( )o C v s

Le

( ) ( )ˆ e s d s

( ) ( )ˆ j s d s

1:M D( )

ˆi

i

ˆ ( )i v s

+

ˆload

G i

)LJ6PDOOVLJQDOFRQYHUWHUPRGHO

ˆi v dˆ

ˆload

i

vg( ) G s

vd( ) G s

out( ) Z s

ig( ) G s

id( ) G s

ˆo v

ˆL

i

out( )

e

Z s

L s

)LJ0DWKHPDWLFDOUHSUHVHQWDWLRQRIVPDOOVLJQDOFRQYHUWHUPRGHO

%\VWDWHVSDFHDYHUDJHPHWKRGZHFDQJHW

2

ˆ( ), ( ) 0ˆ

ˆ ( ) ( )

( ) ˆ ( ) 1

o vg

i d s g s e e

v s M D

G s

v s = L Cs L Gs

= =

+ +

(29)

ϭϵ

2

ˆ( ), ( ) 0ˆ

ˆ ( ) ( ) ( )

( ) ˆ( ) 1

i

o vd

e e

v s g s

v s M D e s

G s

L Cs L Gs

d s =

= =

+ +

2

ˆ( ), ( ) 0ˆ

( ) (1 )

ˆ ( )

( ) ˆ ( ) 1

L ig

i d s g s e e

M D G Cs

i s G

G s

v s L Cs L Gs

=

+

= =

+ +

2

ˆ( ), ( ) 0ˆ

( ) ( ) (1 )

ˆ ( )

( ) ˆ( ) 1

i

L id

e e

v s g s

M D e s G Cs

i s G

G s

L Cs L Gs

d s =

+

= =

+ +

2

ˆ( ), ( ) 0ˆ

ˆ ( )

( ) ˆ ( ) 1

i

o e

out

e e

load d s v s

v s L s

Z s

L Cs L Gs

i s =

= =

+ +

)URPWKHGHILQLWLRQRILQSXWLPSHGDQFHRIRSHQORRSFRQYHUWHU

ˆ( ),ˆ ( ) 0

ˆ ( ) ( ) ˆ ( )

load i

in

i d s i s

Z s v s

i s =

=

:LWK(iˆload)DQG( )dˆ VHW WR ]HUR WKH FLUFXLW RI )LJ 7KH IROORZLQJ UHODWLRQVKLS FDQ WKHQ EH GHULYHGXVLQJFLUFXLWDQDO\VLV

2

( ) (1 )

ˆ( ) ˆ( ). ˆ( ). ( )

L i 1 i ig

e e

M D G Cs

i s v s G v s G s

L Cs L Gs +

= =

+ +

:LWKdˆVHW WR ]HUR DQDO\VLV RI )LJ LQGLFDWHV WKDW WKH UHODWLRQVKLS EHWZHHQiˆDQGˆ

iLFDQ EH H[SUHVVHGDV

ˆi( ) ( ). ( )ˆL

i s =M D i s

(OLPLQDWLQJi sˆ ( )L IURPDERYHHTXDWLRQVZHZLOOJHWIROORZLQJHTXDWLRQ

ˆ ( )

ˆ ( ). ( ) ( )

i

i ig

i s v s G s

M D =

7KHUHIRUHWKHRSHQORRSLQSXWLPSHGDQFHFDQEHZULWWHQDV

ˆ( ),ˆ ( ) 0

ˆ ( ) 1

( ) ˆ( ) ( ). ( )

load i

in

ig

i d s i s

Z s v s

M D G s

i s =

= =

(30)

ϮϬ

,QSXW,PSHGDQFHRI,QSXW,PSHGDQFHRI,QSXW,PSHGDQFHRI,QSXW,PSHGDQFHRI&ORVHG&ORVHG&ORVHG&ORVHG/RRS/RRS/RRS%XFN/RRS%XFN%XFN%XFN&RQYHUWH&RQYHUWH&RQYHUWH&RQYHUWHUUUU Converter

Buck Boost

- Buck Boost

( )

M D Le e s( ) j s( )

D L

2

Vout

D

Vout

R

'

1

D '2

L

D out 1 sL'2

V D R

§ ·

¨ − ¸

© ¹ '2

Vout

D R

'

D D

'2

L

D Vout'2 1 sDL'2

D D R

− § ·

¨ − ¸

© ¹ '2

Vout

D R

7DEOH&DQRQLFDO0RGHO3DUDPHWHUVIRU%XFN%RRVWDQG%XFN%RRVW&RQYHUWHUV

ˆi v

dˆ

ˆload

i

vg( ) G s

vd( ) G s

out( ) Z s

ig( ) G s

id( ) G s

ˆo v

ˆL

i

out( )

e

Z s L s

c( )

G s vˆref

GM

dˆ

( ) H s

)LJ0DWKHPDWLFDOUHSUHVHQWDWLRQRIWKHFORVHGORRSFRQYHUWHULQYROWDJHPRGHFRQWURO

&ORVHG ORRS LQSXW LPSHGDQFH LV GHILQHG DV WKH UDWLR RI SHUWXUEDWLRQV LQ WKH LQSXWYROWDJH( )vˆi WR WKHSHUWXUEDWLRQVLQWKHLQSXWFXUUHQW( )iˆL ZKHQSHUWXUEDWLRQRIWKHORDGFXUUHQW(iˆload)LV]HUR

,

ˆ ( ) 0

ˆ ( ) ( ) ˆ ( )

load i in CL

i i s

Z s v s

i s =

=

,Iˆ

iloadDQGvˆrefDUH ERWK HTXDO WR ]HUR WKH IROORZLQJ HTXDWLRQV FDQ EH REWDLQHG E\ DQDO\VLV RI )LJ

(31)

Ϯϭ

ˆ ˆ ( ) ( ) ( )

ˆ ˆ ˆ

o c M

o vd vg i

d v H s G s G s v G d G v

= −

= +

7KH UHODWLRQVKLS EHWZHHQ LQSXW FXUUHQW SHUWXUEDWLRQ DQG LQGXFWRU FXUUHQW SHUWXUEDWLRQ FDQ EH REWDLQHGIURPILJZKHQv dˆ ,i ˆ=0

ˆi( ) ( )ˆ ( )ˆL

i s = j s d+M D i

)LQDOO\IRUWKHIROORZLQJHTXDWLRQFDQEHREWDLQHGIURP)LJ

ˆL id ˆ ig iˆ

i =G d+G v

,I LW LV HOLPLQDWHG IURP DQG DQG FRPELQHG ZLWK HTXDWLRQV RI WKH IROORZLQJ V\VWHPRIOLQHDUHTXDWLRQVLVREWDLQHG

ˆ ˆ ( ) ( ) ( ) 0

ˆ ˆ ˆ 0

ˆ( ) ( ( ) ( ) )ˆ ( ) ˆ

o c M

vd o vg i

i id ig i

d v H s G s G s G d v G v

i s j s M D G d M D G v

+ =

− + =

= + +

)URPDERYHWZRHTUHSODFHvˆoLQILUVWHT

( )

ˆ vd ˆ vg iˆ c M 0

d+ G d+G v HG G =

(

1+G dvd ˆ+G v dvgˆ

)

ˆ+HG G G vc M vg iˆ =0

ˆ ˆ

1

c M vg i

HG G G v d = − T

+

( )

ˆ ˆ ˆ

1

c M vg i

id ig i i

HG G G v

j MG MG v i

T

§ ·

− + ¨ ¸+ =

© + ¹

( ) ( )

ˆ 1

ˆ 1

id c M vg ig

i i

j MG HG G G MG T

i

v T

+ + +

§ ·

= −¨ ¸

© + ¹

( )

ˆ

ˆ 1

c M vg ig c M vg id ig vd

i i

jHG G G MG MHG G G G G G i

v T

§− + + − ·

¨ ¸

=¨© + ¸¹

:.7G Gvg idG Gig vd =0

(32)

ϮϮ

1

c M vg ig

inc

jHG G G MG

Y T

− +

= +

1 1

ig c M vg

inc

ig

MG jHG G G

Y T MG

§ ·

= + ¨¨© − ¸¸¹

(

1

)

1

1

inc

c M vg

ig

ig

Z T

jHG G G MG

MG

§ ·

¨ ¸

+ ¨ ¸

= ¨ ¸

¨ − ¸

© ¹

1

in

ig

Z = MG

1 1

inc in

vg in vd

Z Z T

jTG Z G

§ ·

¨ ¸

¨ + ¸

= ¨ ¸

¨ − ¸

© ¹

1

vg vd

G

G =e

)URP7DEOHIRU%XFN&RQYHUWHU ( ) 2

( ) j s D

e s = R

6XEVWLWXWLQJDOOWKHYDOXHVLQWKHDERYHHTXDWLRQ

, 2

ˆ ( ) 0

ˆ ( ) 1

( ) ( )

ˆ ( )

1 ( )

load

i

in CL in

i i s

in

v s T

Z s Z s

i s D

T Z s

R

=

§ ·

¨ + ¸

= = ¨ ¸

¨ − ¸

¨ ¸

© ¹

2XWSXW,PSHGDQFHRI2XWSXW,PSHGDQFHRI2XWSXW,PSHGDQFHRI2XWSXW,PSHGDQFHRI2SHQ/RRS2SHQ/RRS2SHQ/RRS2SHQ/RRS%XFN&RQYHUWHU%XFN&RQYHUWHU%XFN&RQYHUWHU%XFN&RQYHUWHU

7KH RXWSXW LPSHGDQFH RI D '&'& FRQYHUWHU LV GHILQHG DV WKH UDWLR RI WKH SHUWXUEDWLRQV RI WKH RXWSXWYROWDJHvˆoWRWKHQHJDWLYHSHUWXUEDWLRQVRIWKHORDGFXUUHQWiˆloadZKHQSHUWXUEDWLRQRIWKH LQSXWYROWDJHvˆiLV]HUR6LQFHWKHJRDOLVWRFDOFXODWHWKHRSHQORRSRXWSXWLPSHGDQFHdˆLVVHW WR]HURDVZHOO

(33)

Ϯϯ

ˆ( ), ( ) 0ˆ

ˆ ( ) ( ) ˆ ( )

i o

out

load d s v s

Z s v s

i s =

= −

6HWWLQJvˆiDQGdˆHTXDOWR]HURLQILJ

$UHODWLRQVKLSIRUvˆoLVH[WUDFWHGE\XVLQJFLUFXLWDQDO\VLV

ˆ 2

ˆ ( )

1

e

o load

e e

v i s L s

L Cs L Gs

= − ×

+ +

5HIHUULQJWRWKHGHILQLWLRQRIRXWSXWLPSHGDQFHWKHRSHQORRSRXWSXWLPSHGDQFHLVFDOFXODWHGDV

2

ˆ( ), ( ) 0ˆ

ˆ ( )

( ) ˆ ( ) 1

i

o e

out

e e

load d s v s

v s L s

Z s

L Cs L Gs

i s =

= =

+ +

2XWSXW,PSHGDQFHRI2XWSXW,PSHGDQFHRI2XWSXW,PSHGDQFHRI2XWSXW,PSHGDQFHRI&ORVHG/RRS&ORVHG/RRS&ORVHG/RRS&ORVHG/RRS%XFN&RQYHUWHU%XFN&RQYHUWHU%XFN&RQYHUWHU%XFN&RQYHUWHU

&ORVHGORRSRXWSXWLPSHGDQFHLVGHILQHGDVWKHUDWLRRISHUWXUEDWLRQVLQWKHRXWSXWYROWDJHvˆoWR WKH QHJDWLYH SHUWXUEDWLRQV RI WKH ORDG FXUUHQW ORDG −iˆload ZKHQ WKH SHUWXUEDWLRQ RI WKH LQSXW YROWDJHvˆiHTXDOWR]HURWKHIROORZLQJHTXDWLRQVFDQEHREWDLQHGIURPILJ

( )

ˆ ˆ ˆ ( ) ( ) ( )

ˆ ˆ

ˆ ( )

ref o c M

o vd load out

d v v H s G s G s v G s d i Z

= −

= +

,Ivˆref LVHTXDOWR]HURWKHFORVHGORRSRXWSXWLPSHGDQFHFDQEHIRXQGE\VROYLQJDERYHHTXDWLRQ

,

ˆ ( ) 0

ˆ ( )

( ) ˆ ( ) 1 ( ) ( ) ( ) ( )

i

o out

out CL

c vd M

load v s

v s Z

Z s

H s G s G s G s

i s =

= =

+

, ( ) 1

out out CL

Z s Z

= T

+

:KHUHT=H s G s G( ) c( ) vd( )s GM( )s 3DUDPHWHUVRI6RXUFHDQG/RDGFRQYHUWHU

2 2

100 , 20 , 10 ,

318.3 , 318.3 , 0.3 8.4 6( 4275)

( 2.3 5)

c

sw in o

L

f KHz V V V V

L H C F R

e s G s s

e

µ µ

= = =

= = =

+

= +

2 2

100 , 10 , 5 ,

39.788 , 159.154 , 2.5 6.78 7( 1.71 4)

( 9.234 5)

c

sw in o

f KHz V V V V

L H C F R

e s e

G s s

e

µ µ

= = =

= +

= = =

+

(34)

Ϯϰ

5HVXOWV5HVXOWV5HVXOWV5HVXOWV

)LJ,QSXWLPSHGDQFHRIRSHQORRSEXFNFRQYHUWHU

)LJ,QSXWLPSHGDQFHRIFORVHGORRSEXFNFRQYHUWHU

-10 0 10 20 30 40

Magnitude (dB)

101 102 103 104 105

-90 -45 0 45 90

Phase (deg)

Input impedance of open loop buck converter

Frequency (Hz) Open Loop Input Impedance of Load Converter Open Loop Input Impedance of Load Converter

20 30 40 50 60

Magnitude (dB)

101 102 103 104 105 106

-180 -135 -90 -45 0 45 90

Phase (deg)

Input Impedance of Closed loop converter

Frequency (Hz) Close loop Input Impedance of Load Converter

Close loop Input Impedance of Load Converter

(35)

Ϯϱ

)LJ2XWSXWLPSHGDQFHRIRSHQORRSEXFNFRQYHUWHU

)LJ2XWSXWLPSHGDQFHRIFORVHGORRSEXFNFRQYHUWHU

6WDELOLW\$QDO\VLV 6WDELOLW\$QDO\VLV 6WDELOLW\$QDO\VLV 6WDELOLW\$QDO\VLV

7ZR7ZR7ZR7ZR6WDJH'LVWULEXWHG6\VW6WDJH'LVWULEXWHG6\VW6WDJH'LVWULEXWHG6\VW6WDJH'LVWULEXWHG6\VWHPHPHPHP

-50 0 50 100 150

Magnitude (dB)

101 102 103 104 105

-90 -45 0 45 90

Phase (deg)

Output impedance of open loop buck converter

Frequency (Hz)

Open Loop Output Impedance of source Converter Open Loop Output Impedance of source Converter

-70 -60 -50 -40 -30 -20 -10

Magnitude (dB)

101 102 103 104 105

-135 -90 -45 0 45 90 135

Phase (deg)

Output impedance closed loop converter

Frequency (Hz)

Output impedance of closed loop converter

Output impedance of closed loop converter

References

Related documents

GHJUHHV LQ ZKHQ $XWRUHIUDFWRPHWHU YDOXHV ZDV FRPSDUHG ZLWK VXEMHFWLYH UHIUDFWLRQ YDOXHV ZKHUHDV LQ WKH FRQGLWLRQ ZDV VDWLVILHG ZKHQ YDOXHV RI 5HWLQRVFRS\ DQG

EHHQ RXWVLGH WKH IRUPDO EDQNLQJ V\VWHP 1%)&amp;V WKDW KDYH GHYHO RSHGH[WHQVLYHUHDFKWRXQEDQNHG FRQVXPHUVEXWSURYLGHWKHLUVHU YLFHV WKURXJK VWDII VRXUFHG DQG WUDLQHG IURP ORFDO WDOHQW

i) To study the distribution and morphology of CD1a positive Langerhans cells in human lung tissue in obstructive pulmonary diseases, benign and malignant diseases

,IZHH[DPLQHWKHUROHRI368EDQNV LQ ); ULVN PDQDJHPHQW ZH REVHUYH WKDW DOWKRXJK ,QGLD KDV ZLWQHVVHG LPSURYHPHQW LQ LQIRUPDWLRQDO DQG RSHUDWLRQDO HIÀFLHQF\ RI WKH IRUHLJQ H[FKDQJH

,QGLD ZDV DFFRPSDQLHG E\ VHYHUDO GHYHORSHG HFRQRPLHV LQ DVFULELQJ DQ LPSRUWDQW UROH WR WKH GHYHORSPHQW RI 368V LQ WKH SURFHVV RI DFKLHYLQJ LWV SRVWLQGHSHQGHQFH REMHFWLYHV RI

you need a steady FDSLWDODQGÀQDQFLDO system... FHUWDLQ VHFWRUV GHHPHG DV LPSRUWDQW IRU QDWLRQDO GHYHORSPHQW UHFHLYH DGHTXDWH FUHGLW 7KH 36/ SURJUDP KDV EHHQ LPSOHPHQWHG E\ WKH

The re sults from the model show that gov ern ment spend ing on pro duc tiv ity en - hanc ing in vest ments, such as ag ri cul tural re search and de vel op ment, ir ri ga tion, ru

Ratios were calculated for each institute and plotted (Table 6, Fig. Efficient frontier line was drawn. From the graph, it is interpreted that institutes RI E, RI C